Які мої менш дорогі варіанти для початку роботи з CPLD [закрито]


10

Я хотів би обійтись із деякими елементами CPLD, і я бачу, що у мене є пара варіантів. Я не маю на увазі конкретного застосування; просто здається, що існує маса можливостей, деякі з яких простіші у використанні, ніж інші.

Основні виробники мають дошки розробки, деякі дорожчі інших. Вони також мають кабелі програміста, які, як правило, здаються ... не дешевими. Зробивши кілька копань, я натрапив на кілька варіантів і хочу розібратися в плюсах / мінусах кожного.

  1. Чи справедливо сказати, що CPLD з X Macrocells є більш-менш однаковими?
  2. Хоча інтерфейс JTAG є стандартним, для цілей програмування частини немає жодного стандартного попереднього питання JTAG . Це питання про те, що постачальник програмного забезпечення розмовляє з програмістом? Чи стають речі більш стандартними, якщо я використовую якусь іншу утиліту для скидання бінарного файлу?
  3. Деякі платформи мають дешевші альтернативи. Мені цікаво, що відбувається з цим у плані USB / паралельний порт, Linux / Windows.
  4. Якщо припустити, що мені комфортно працювати з дошкою розвитку, наскільки складним є перехід до використання безпосередньо однієї з базових частин безпосередньо? Моє враження, що в основних варіантах справа лише в декількох штифтах заголовків і парі діодів / резисторів. Я далеко не в цьому?
  5. Як я б програмував пристрої у власних конструкціях? Якщо у мене є дошка для розробки або стартовий комплект, чи доведеться мені потім повертатися назад і купувати дорогого програміста? Чому плата розробників продається дешево, але програміст працює сотні доларів? Імовірно, дошка розробників має можливість програмування.

Ось кілька варіантів, які виділяються для мене. Я перераховую ціни лише для того, щоб відрізнити їх від варіантів, що мають багатосот доларів. Усі вони для мене. Я шукаю приховані витрати з точки зору простоти використання, проблем із програмним забезпеченням тощо.

Xilinx - популярний шлях. Я міг би зробити:

Кабель Digilent вимагає використання їх програмного забезпечення, яке наразі є лише вікном, тож мені потрібно пройти шлях по USB за 48 доларів.

Ще одна можливість Xilinx є

Перехід компанії на решітку:

Мені подобається ідея використання деталей Решітки, коли Mouser.com несе їх (я не бачу багато Xilinx там). Я схильний замовляти більше у них. Як тільки мені стає комфортно з їхніми речами, я здогадуюсь, що я міг би зламати кабель програміста на основі схем цієї дошки. Це виглядає справді прямо вперед. Чи можу я використовувати плату eval як програміста? Чи виставляти заголовок JTAG?

Комплект Lattice MachXO2 Pico (29 доларів) також виглядає великою ціною, хоча деталь, яку він демонструє, дорожча.

Альтера також має хороші пропозиції.

  • Програміста можна взяти з EBay за ($ 15)
  • Демонстраційна дошка може бути від EBay за ($ 28)

По черзі, Альтера

  • Стартовий комплект (50 доларів)

Який із них є кращим маршрутом для початківця, який простіше працювати (драйвери та такі), має деяку стійкість і, можливо, пропонує найбільшу гнучкість?


Цей сайт є хорошим місцем для ознайомлення з типами CPLD, виробниками та системами програмування, але він не задовольняє порівняння поточних пропозицій долар за долар від діючих дистриб'юторів.
Кевін Вермер

Не могли б ви переглянути своє питання, щоб бути менш зосередженим на поточних цінах та пропозиціях, а більше зосередитись на драйверах між платформами, постійній силі деталі та гнучкості? Тоді ми могли б навчити вас і майбутніх читачів, а не просто давати вам посилання. (Див. Тег [shopping] та поширені запитання для отримання додаткової інформації про цю політику).
Кевін Вермер

@reemrevnivek Я додав до питання. Сподіваюсь, це пояснює питання, що брязкають навколо в моїй голові.
mmccoo

Відповіді:


5

Я набагато більше віддаю перевагу CPLD Altera MAX II, ніж ті, які мають Xilinx. Вони насправді невеликі FPGA, але Altera про це мовчить. Я створив власні друковані плати для Xilinx та Altera CPLD, це досить просто. Кабелі програмування як для пристроїв Xilinx, так і для Altera доступні на Ebay, я використовую їх у FPGA та не має проблем із частинами Xilinx та Altera. За вказаними цінами, не варто більше робити власні.

Програмне забезпечення Altera набагато простіше у використанні, ніж програмне забезпечення Xilinx. Я б завантажував програмне забезпечення для пристроїв, які ви думаєте використовувати, і спробуйте його, перш ніж приймати остаточне рішення.


Я знайшов деяких програмістів на ebay Altera, як ви запропонували. Дякую. Я не в змозі знайти сумісний з Xilinx. Що я повинен шукати?
mmccoo

Я думаю, це було саме таке: cgi.ebay.co.uk/Xilinx-FPGA-CPLD-USB-download-Cable-JTAG-/… Є й інші.
Леон Геллер

Шукати "Кабель Xilinx"
Леон Хеллер

0

Якщо ви хочете дізнатись, це VHDL або Verilog, тоді вам не потрібна рада розвитку. xilinx має безкоштовні компілятори та тренажери. Навчання гарній техніці моделювання - це, мабуть, важливіший навик, ніж усе, чого б ви навчились насправді за допомогою обладнання.


2
Щоправда, але набагато цікавіше бачити фактичне миготіння світлодіодів :)
Джон Бертон
Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.