Запитання з тегом «programmable-logic»

7
Чи є аналогові FPGA?
Як я розумію, FPGA - це гнучкі "цифрові" схеми, які дозволяють проектувати та будувати та відновлювати цифрову схему. Це може здатися наївним або нерозумним, але мені було цікаво, чи є FPGA або інші "гнучкі" технології, які також роблять аналогові компоненти доступними для дизайнера, як підсилювачі, або A / D, D …

5
Що б змусило мене вибрати Verilog або VHDL над схематичним дизайном на CPLD або FPGA?
У мене абсолютно немає досвіду програмованої логіки, я використовую в основному мікроконтролери в своїх проектах, але останнім часом мені потрібно було працювати з відео, і мікроконтролер занадто повільний, що мені потрібно, тому я почав грати з CPLD. Мені вдалося отримати хороші результати з CPLD лише за допомогою схематичного дизайну, але …

6
Дискретний логічний дизайн
Мені доручено створити простий тривожний пристрій. Просто потрібно виміряти кілька входів, і результати відповідатимуть відповідно (простіше кажучи!). Мені здавалося, що за допомогою декількох дискретних логічних воріт можна буде виконати роботу, але колега (який працював над цим зі мною) вирішив, що ми повинні використовувати програмовану логіку замість цього. Його справа перемогла, …

2
Яка різниця між CPLD та FPGA? [зачинено]
Зачинено. Це питання поза темою . Наразі відповіді не приймаються. Хочете вдосконалити це питання? Оновіть питання, щоб воно було актуальним для обміну стеками електротехніки. Закрито 4 роки тому . Яка різниця між CPLD та FPGA?

3
Що відбувається, коли FPGA увімкнена і не налаштована?
Я намагаюся отримати загальне розуміння того, що станеться, якщо залишити FPGA непрограмованим протягом тривалого часу. Припустимо, у вас є FPGA, і ви залишаєте її непрограмованою протягом тривалого періоду часу (від декількох хвилин до години після включення), тобто немає бітового потоку на ньому, це погано для пристрою? Чи рекомендується постійно мати …

2
Які мої менш дорогі варіанти для початку роботи з CPLD [закрито]
Зачинено. Це питання поза темою . Наразі відповіді не приймаються. Хочете вдосконалити це питання? Оновіть питання, щоб воно було актуальним для обміну стеками електротехніки. Закрито 5 років тому . Я хотів би обійтись із деякими елементами CPLD, і я бачу, що у мене є пара варіантів. Я не маю на …

4
Для чого використовуються програмовані логічні ІС різної складності?
Заблокований . Це запитання та його відповіді заблоковано, оскільки це питання поза темою, але має історичне значення. Наразі не приймає нових відповідей чи взаємодій. Програмована логіка може бути реалізована у вашому віджеті в багатьох різних спектрах: від запису кількох воріт або використання MUX до останньої FPGA із вбудованим мікроконтролером та …


1
Чи варто використовувати резистор між вхідним штифтом MCU / CPLD та VCC / GND?
Деколи я хочу, щоб мій MCU або CPLD вводили статичну логіку. Отже, я вирішую прив’язати його до VCC чи GND. Проблема в тому, що я повинен ставити резистор послідовно, щоб обмежити струм? Я просто деякий час думаю сам і отримую власну відповідь: ні! Візьмемо, наприклад, таблицю даних STM32F103 , на …
Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.