VHDL IDE для середовища GNU / linux


19

Мені потрібно вивчити VHDL з 0, і я хотів би мати опцію, яка працює під ядром Linux замість NT / Windows: будь-які поради? Я також можу дуже оцінити добрі посилання на хороші VHDL ресурси для початківця, дякую.


3
Досить впевнені, що деякі з наборів інструментів FPGA-постачальників зараз працюють під Linux у своїх безкоштовних версіях - це часто популярний спосіб грати з мовами HDL.
Кріс Страттон

Відповіді:


10

І IDE Xilinx ISE і Altera Quartus II працюють під Linux. Безкоштовні завантаження доступні:

http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.htm

https://www.altera.com/download/software/quartus-ii-we

Є також Symphony EDA:

http://www.symphonyeda.com/

що може бути краще, якщо вам не потрібен синтез. Це приємне програмне забезпечення. Безкоштовна версія може бути адекватною.


1
Нічого собі, це чудово! Ніколи не розумів, що пропонують це і для Linux.
Консалик

9

Редактори

Ви можете використовувати будь-який редактор, на який хочете написати свій дизайн, але я хочу запропонувати Sigasi . Sigasi 2.0 - це потужний редактор на базі Eclipse, який забезпечує автоматичне завершення, рефакторинг, розшифрування коду та багато іншого. У минулому я багато використовував Vim, але Sigasi справді був значним підвищенням продуктивності. Це безкоштовно для академічного використання, тому я пропоную вам переконатися в собі.

Симулятори

Хоча більшість пакетів постачальників включає якийсь компілятор, вам може бути краще з більш простою альтернативою:

  • GHDL : симулятор VHDL з відкритим кодом на основі GNAT та GCC.
  • Simili : Безкоштовно для невеликих проектів VHDL симулятор та IDE.

Обидва це чудові інструменти, які зручні, оскільки для них не потрібна ліцензія, яка може бути проблемою, коли ви в дорозі чи вдома.

Синтез

І Altera, Xilinx і Actel надають безкоштовні версії свого набору, які ви можете використовувати, якщо хочете запустити дизайн на реальну ціль.


8

Працюючи в цій галузі, я можу просто сказати вам про серйозних постачальників, включаючи Xilinx та Altera, які вже мають версії Linux своїх інструментів. Обидва бліді як редактори коду порівняно з emacs з відповідними режимами.

Для інтерфейсів моделювання вони чудові, з ghdl, icarus verilog або verilator з подальшим gtkwave безкоштовною альтернативою; Я не знаю зручного інтерфейсу для моделювання, окрім, можливо, qucs (який, в першу чергу, є симулятором ланцюга, як спеція, але використовує freehdl та icarus verilog для імітації цифрових компонентів). gtkwave часто досить для аналізу, хоча обсяг даних стає досить великим.

Щодо остаточного синтезу, місця та маршруту до FPGA, наразі реальної альтернативи невільним інструментам немає. Програмування, коли у вас є бітовий потік у відповідному форматі, є менш обмеженим, а для дощок на зразок ORDB2A (який я допоміг розробити) ми використовуємо безкоштовні інструменти, такі як UrJTAG . Якщо ви націлені на ASIC, Альянс - це цікава можливість.


ORDB2A відсутній на складі. Здається, O-Board може бути гарною заміною. Доступно на миші.
minghua

2
Також зараз є безкоштовні програмні засоби для деяких решіток FPGA; шукати проект IceStorm.
Yann Vernier

2

Я збирався запропонувати emacs, в режимі vhdl.

Однак це просто забезпечить вам функцію редагування коду, і ви все одно потребуєте інструментів ISE / QuartusII для синтезу.


Для початкових цілей навчання код може подаватися в механізм симуляції, а не в синтез (який потребує апаратного пристрою, щоб побачити результати). Костюми FPGA часто включають певний рівень інструменту моделювання, тому іноді звикають до цієї мети, хоча "справжні" тренажери - це окремі пакети, які іноді в комплекті з пакетом FPGA, а іноді продаються / публікуються окремо.
Кріс Страттон
Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.