Безкоштовний IDE для VHDL та Verilog [закрито]


Відповіді:


30

Перше за все: ласкаво просимо у світ логічного дизайну.

2-го, вам потрібно зрозуміти проектний потік (важливий мод!) Потік дизайну у VHDL / Verilog:

  1. подумайте про дизайн, який ви хочете реалізувати, наприклад, про суматор
  2. реалізувати дизайн у VHDL / Verilog
  3. впровадити тестовий стенд у VHDL / Verilog
  4. використовуйте тестовий стенд для імітації вашого дизайну (з кроку 2)
  5. якщо це працює і моделювання успішно, спробуйте синтезувати конструкцію
  6. робити всі інші речі, як-от карта, місце та маршрут
  7. побудувати .bit файл
  8. використовуйте свій JTAG для програмування вашої FPGA
  9. ПРИБУТЬ! (сподіваємось)

так що, як бачите, це багато кроків. для багатьох з них доступні інструменти з відкритим кодом. Тільки безкоштовно було б досить нудно, тому я спробую показати вам, що має ринок.

  • для кроку 1) найважливішим є Libre / openOffice Calc, папір та олівець, і якщо у вас є великі FSM, можливо Qfsm
  • крок 2) вам потрібен хороший редактор, візьміть той, який вам подобається, і все добре. є кілька спеціалізованих для VHDL, найкраще - sigasi (ви повинні гуглювати цей, запобігання спаму). Для цього завдання ви вже можете використовувати одного з великих IDE постачальників, але повірте мені, що це НЕ, що ви хочете
  • крок 3) -> крок 2
  • крок 4) ось декілька можливостей: Xilinx ISim, (Altera) Modelsim, (Решітка) Aldec, ghdl у поєднанні з GTKWave. Я думаю, що симуляторів більше, але цього для початку має бути достатньо. Усі ці інструменти є лише тренажерами, хоча вони приносять повний IDE (крім ghdl).
  • крок 5) зробіть собі прихильність і використовуйте інструмент, наданий виробником вашої FPGA. якщо у вас достатньо досвіду, ви можете спробувати Icarus Verilog .
  • крок 6 і 7) немає іншого шансу, крім використання вендорів
  • крок 8) так багато інструментів роблять це, навіть інструменти, надані постачальниками FPGA. Мені подобається використовувати командні лінії, тому я продовжую користуватися деякими незрозумілими миготливими програмами, але постачальники також добре.

сподіваюся, що я можу допомогти


1
Що не так точно з використанням редакторів, що входять до інструментів постачальника? Вони здаються базовими, але функціональними.
drxzcl

так, вони дуже основні, але принаймні Linux з xilinx та altera навіть не мають простого редагування блоків. це дуже засмучує, якщо ви хочете коментувати блоки, оскільки vhdl не має команд блоку
milch

1
Я перебуваю на версії Windows, і в контекстному меню є варіант "блокування коментарів". Для інших операцій редагування блоків ви самостійно: P
drxzcl

6

Будь-який програмуючий IDE або текстовий редактор насправді може використовуватися з мовами опису апаратних засобів, і будь-який гідний повинен мати можливість запускати інструментальний ланцюжок компіляцій (моделювання націлювання або апаратних засобів). Як результат, актуальним питанням для досягнення чогось є "які безкоштовні компілятори HDL доступні" - з відповідями такі речі, як Icarus Verliog, GHDL і т.д.

Однак, коли багато людей запитують "IDE", те, що вони означають, - це щось хитре, готове до роботи, часто з деякими контекстуальними підказками / допомогою. Загальна відповідь на це - обмежена "веб-версія" внутрішніх наборів інструментів, пропонованих великими компаніями FPGA, такими як Xilinx (ISE) або Altera (Quartus). Вам не потрібно насправді мати будь-яке обладнання від відповідної компанії для складання конструкцій або для того, щоб грати з будь-якою (як правило, ліцензією за розміром або часом) для імітатора, який вони включають. При цьому 50-150 доларів, щоб отримати базову плату FPGA, можуть зробити досвід набагато більш "справжнім" і піддають вас деяким часом дивних відмінностей між тим, що відбувається в тренажері та фактичним контуром (як правило, результатом того, що у вас є знехтувати повністю вказати,


5

Найкращий варіант: Сіагсі . Або автономний, або плагін Eclipse (вони будуть виглядати дуже схоже). У безкоштовній версії немає функцій рефакторингу коду та подібних, а швидше зводиться до "VHDL IDE" - саме того, що ви хочете.


4

Зараз я використовую безкоштовний IDE від Xilinx. Ви можете завантажити його тут (якщо ви не живете в Північній Кореї тощо): http://www.xilinx.com/support/download/index.htm

В даний час він називається "ISE Design Suite", але протягом багатьох років Xilinx перейменував його. Хоча це безкоштовно, його не можна використовувати на дійсно великих або дуже сучасних FPGA Xilinx. Я зараз використовую його на дизайні Spartan6 LX45, платі Digilent Atlys (яка наразі коштує 200 доларів людям в академічних школах, 349 доларів - тим, хто знаходиться поза): http://www.digilentinc.com/Products/Catalog.cfm?NavPath = 2400 & Cat = 10 & FPGA

Інший головний постачальник FPGA - компанія Altera. Вони також мають тестові дошки та безкоштовну IDE під назвою "Quartus": http://www.altera.com/products/software/sfw-index.jsp


3

Завдяки звичайним IDE ви затримуєтесь того, що вони вам пропонують. Але за допомогою Emacs 24 ви можете налаштувати його під будь-яке химерне бажання! Я використовую його з режимами прелюдії та підкреслення . Подивіться, як чистота!

введіть тут опис зображення

Emacs - це не зовсім IDE, але чому б не зробити його одним?

  • Контроль версій
  • Гарячі клавіші для запуску вашого зовнішнього компілятора, інструменту для ворсу, симулятора, створення файлу тощо.
  • Можна додати складний код
  • Клавіші швидкого доступу, щоб вставити загальні кодові блоки
  • Автоматичне коментування
  • Ви, мабуть, вже є!

3

Ось кілька безкоштовних IDE:

SystemVerilog, Verilog, VHDL та інші ЛПВЩ

  • EDA Playground - це веб-браузер IDE, який базується на редакторі з підсвічуванням синтаксису та вибором симуляторів. Оскільки він працює від веб-браузера, встановити нічого немає. Це добре для малих прототипів, але не для великих проектів.

SystemVerilog і Verilog

  • SVEditor - плагін Eclipse. Вам потрібно надати власний тренажер. Крім того, він індексує 1 файл одночасно, що є більш обмежуючим, ніж дозволяють багато комерційні симулятори. Рекомендуйте мати один файл верхнього рівня для індексації.

1

Насправді не існує повного IDE для RTL-дизайну.

Ваша найкраща ставка - почати з emacs або vi з плагіна синтаксису vhdl або verilog, а також перезаписати кілька функціональних клавіш, щоб компілювати, запустити та виконати деякі основні елементи управління версіями. Завершення коду з голими кістками вбудовано в редактори, але вони насправді не знають VHDL / Verilog.




0

Нещодавно я знайшов досить хороший редактор VHDL / Verilog ( http://www.vide-software.at ), який є плагіном для Microsoft Visual Studio. Якщо ви студент, це безкоштовно. Інакше ліцензія коштує близько 30 євро.

Якщо ви знаєте і любите Visual Studio, цей плагін вам сподобається! Він також досить складний, оскільки підтримує перейменування, пошук посилань, визначення goto, доповнення коду тощо. Більшість (безкоштовних) редакторів, які я намагався раніше, бракували цих функцій.

Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.