Як дізнатися ЛПВЩ


24

У мене в цьому семестрі є курс цифрового дизайну і просто його люблю. Тепер я знаю, що більша частина роботи над вбудованою системою та цифровим дизайном виконується спочатку на комп'ютерних тренажерах, а потім реалізується за допомогою апаратних засобів. Тож мені було цікаво, як мені піти на вивчення ЛПВЩ. У мене мало питань

  1. Що? Я не знаю, що це за стандарти, але я хотів би дізнатися, який легко підібрати. Я розумію, що більшість ЛПВЩ розроблені для використання з FPGA, я цього не знаю.
  2. Як? Чи слід слідкувати за текстовою книжкою з незалежними прикладами чи слід братися за такий проект, як реалізація невеликої системи (може бути щось на кшталт управління світлофором).
  3. Де? Де я б взяв ресурси?

1
Чи можете ви уточнити, що ви хочете від свого ЛПВЩ? Більшість HDL розроблені для використання з FPGA, тому що саме для більшості людей потрібен FPGA. Взагалі, тренажер (який працює на графічній схемі) використовується для проектування схем, що не належать до FPGA та не ASIC. Ви хочете побудувати: (1) дискретні цифрові схеми, тобто компоненти 74XX, (2) аналогові схеми, тобто регулятори комутації, радіочастотні антени / приймачі, загальні схеми змінного сигналу або (3) аналогові змішані сигнали, тобто взаємозв'язок між цифровими та аналоговими сигналами.
Кевін Вермер

Думаю, я хочу навчитися HDL описувати обладнання. Це 1, 2 і 3. Також в одному я хочу, щоб він не був специфічним для FPGA, тому що я не маю ні доступу, ні фінансів для їх отримання.
Rick_2047

Думаю, я хочу навчитися HDL описувати обладнання. Це 1, 2 і 3. Також в одному я хочу, щоб він не був специфічним для FPGA, тому що я не маю ні доступу, ні фінансів для їх отримання. В основному я хочу використовувати HDL для імітації того, що я придумаю. Основний мій план цього року - зробити власний комп’ютер. Я думаю, я буду використовувати для цього 74xx. Ось чому для перевірки моїх теорій і схем виникла ідея ЛПВЩ.
Rick_2047

Відповіді:


8

Чи можете ви уточнити, який HDL ви хочете використовувати? Вибір - це в основному Verilog або VHDL, [EDIT] та їх родичі, Verilog-ASM та VHDL-ASM (Аналоговий змішаний сигнал). [/ EDIT] Verilog має деякий C-подібний синтаксис, який полегшує пошук, якщо ви працювали з C раніше, але це також полегшує розвиток шкідливих звичок - Ви не можете програмувати апаратне забезпечення на C, тому що це все паралельно! Також як і С, він передбачає, що ви знаєте, що робите, і легко стріляти собі в ногу. VHDL змушує думати зовсім по-іншому, що корисно, але важко. Це більш багатослівно і швидше попередити вас, якщо ви зробите щось дивне. Дивіться цю дискусію Slashdot або цю статтю .

EDIT: "Мови Netlist" - це не те, що я використовував для дизайнерських робіт (у текстовому редакторі), але я вважаю, що ви могли. SPICE, формат Cadsoft Eagle і EDIF - це всі приклади (з дуже різними цілями), які приходять в голову. Я використовував лише списки неттолів, щоб перевірити правильність моєї схеми (чи має сенс кожне з'єднання в моїй схемі Eagle), щоб налаштувати абстракцію, яку надає тренажер (SPICE, подібно до того, як використовуються оператори ASM в C), або здійснювати експорт / імпорт між різними програмами (EDIF). Specter netlisting мову пов'язаний з Verilog-A [nalog] і SPICE, і призначений для розробки і перевірки роботи. МАСТ
це компонентна модель моделювання, сумісна з Verilog-AMS та VHDL-AMS. Пошук навчальних посібників з цих мов показує, що інструменти, схожі на схематичні утиліти зйомки, часто використовуються, а не програмуються на самій мові мереж.

Я також відправлю Xilinx Spartan FPGA і плату розробників Digilent . Однак я б поїхав з Basys (60 доларів) або Nexys (100 доларів), якщо вам не потрібен Ethernet на платі Starter (150 доларів), згаданий O Engenheiro (Ціни зі знижкою на освіту). Basys і Nexys дешевші і, отже, більш популярні в школах, тому в Інтернеті є більше навчальних посібників та лабораторій.


Мені було цікаво, чи буде вивчення так званих "Netlist" мов корисним чи простішим за вивчення Verilog чи VHDL?
Rick_2047

Важко сказати. Це залежить від того, що ви хочете зробити зі своєю мовою. Чи можемо ми краще зрозуміти, що ви хочете робити і якою мовою ви хочете скористатися, перш ніж ми розберемося, як і де для кожної перестановки півдесятка мов та великої кількості випадків використання?
Кевін Вермер

9

Я майже в тій же ситуації, що і ви.

Що я роблю:

Я взяв безкоштовний дуже базовий курс ВГДЛ в коледжі, який вивчав. Я грав з дошкою Spartan 3E .

Отже, я купив дошку і почну грати.

Мої друзі запропонували такі книги:

  1. Швидке прототипування цифрових систем , Джеймс О. Гамблен, Майкл Д. Фурман
  2. Публікації Doone - Дизайн чіпів HDL , Дуглас Дж. Сміт

І він також запропонував цього документа:

Посібник із синтезу та моделювання Xilinx


1
Я б другий книги Гамблен і Фурман. Я створив свою власну маленьку дошку Altera Flex 10K10 і зміг використати з нею більшу частину коду в книзі. Це кулінарна книга, але не гірше для цього.
Леон Геллер

4
  1. Є дві мови HDL - це VHDL і Verilog, запитайте у свого професора, на якій мові ви дізнаєтесь, і спробуєте побачити, як це працює: синтаксис і як ви могли б скласти модулі з цього питання і зробити великий відгук про ВСІ цифрові схеми.

  2. Перш ніж робити будь-які речі 1, переконайтеся, що ви дійсно знаєте все про цифрові схеми, оскільки HDL не створює нічого нового, ви використовуєте ці речі лише по-іншому. Тож ви можете скористатися однією з двох вищезгаданих книг, зробити кілька вправ, попросити вчителів тих книг, які він рекомендує, і використовувати їх, або одну з двох вище.

  3. Запитайте у викладача, якого FPGA вони використовують на заняттях, якщо це Altera, Xilinx, Lattice або інші, якщо Altera зробить завантаження веб-випуску Quartus, якщо Xilinx, ISE Webpack, безкоштовно скачать один із цих та спробуйте дізнатися про один із програмних засобів та зробіть кілька своїх вправ, симуляцій на одній із них.

Ви маєте рацію, близько 90% роботи над HDL ведеться на тренажерах, тому дізнайтеся про симулятор Modelsim та / або симулятор ISIM на xilinx, вони командують і як робити тестові стенди.


Два основних ЛПВЩ. Є багато менш популярних, таких як CUPL, MyHDL та Lava. Курс цифрового дизайну в моєму університеті згадав VHDL, але використовував CUPL в лабораторії. Більше на wikipedia: en.wikipedia.org/wiki/…
Ян Верньє

3

де б ви не закінчилися працювати, вам доведеться використовувати той самий HDL, який вони вже використовують - так щоб збільшити шанси отримати найману спробу і витратити трохи часу з Verilog і VHDL. Це було досить просто: Verilog використовувався в США та VHDL в Європі - в наші дні це не просто.

Наверху хтось згадав про те, щоб навчитися «мислити по-іншому» для VHDL - ви повинні зробити це для verilog, схоже, що це C, але це не так - гарне місце для початку - подивитися і подивитися, де проводяться і прошивки створюються під час синтезу - як тільки ви досягнете Точка, де ви можете їх побачити, і ви можете просто "побачити" небезпеку в часі у вашій голові, яку ви велику частину шляху


2

icarus verilog або verilator - це те, що я рекомендував би для навчання чи гри з verilog. ghdl - це те, що я використовував би, щоб грати з vhdl. Далеко від мейнстріму, але я б також рекомендував cyclicity-cdl.sf.net, який має власне сим-середовище тощо, і виробляє синтезований verilog. використовувати та вивчити gtkwave для вивчення .vcd файлів, створених тренажерами.


1

Я дізнався Верилог в коледжі за один курс. Кульмінацією цього курсу стала реалізація двостороннього суперскалярного MIPS-процесора (30% класу повністю працювали, в інших 70%). Я думаю, що справи в галузі за великим рахунком віддаляються від Верилога та до VHDL. Це, як сказано, є багато навчальних посібників в Інтернеті для обох мов. Ось один на VHDL і ось такий на Verilog .

Ви, мабуть, хочете скористатися ModelSim, і ви, можливо, можете скористатися студентським виданням (думаю, це безкоштовно; можливо, з обмеженнями). Між іншим, вони рекомендують використовувати дизайн цифрових систем, використовуючи VHDL 2nd Edition як підручник.


1

Ось дешевий комплект для розробки FPGA, який може підійти саме вам. Однак я не впевнений у рівні інструментів з відкритим кодом, які працюють з ним. Ланцюги інструментарію продавця доступні для безкоштовного завантаження. Я чув, що за ціною цього комплекту цей комплект доступний, варто зайти і дістати його.

Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.