Будь-яке рідне середовище Mac OS X для початку роботи з VHDL / FPGA?


18

Заголовок в значній мірі підсумовує моє запитання: Чи є рідні середовища для Mac OS X для початку роботи з VHDL / FPGA?

Відповіді:


10

Короткий відповідь Ні!

Я досліджував це в минулому, і впевнений, що ви можете використовувати текстовий редактор для написання коду, але жоден з основних (xilinx і altera) не має жодних дизайнерських потоків для платформи mac os x, тому синтез і місце, і маршрут виходять .

На фронті моделювання я знайшов програму, яка стверджує, що виконує моделювання для платформи mac, але у мене були сумніви щодо якості зазначеної програми.

Найкращий варіант - подвійне завантаження (паралелі чи злиття) у windows або linux. Сказавши Linux, я не впевнений, чи можете ви отримати безкоштовні веб-пакети для підтримки Linux, вони можуть бути лише вікнами, заснованими на безкоштовних інструментах.

Таким чином, або подвійне завантаження, або використовувати віддалений робочий стіл для доступу до ПК з Windows. Я це знаходжу, але я знаю, але таке життя на Mac :)


3
Xilinx, Altera та Lattice мають безкоштовні інструменти для GNU / Linux.
Янн Верньє

@LoneTech: Я вважаю, що як у бінарних файлах? Не джерело для інструментів? Принаймні, Linux краще, ніж Windows. Я буду досліджувати цей маршрут…
Каелінський розбір

Я щойно придивився до Xilinx та Altera - жодна з цих моделей Modelsim для Linux не випускається з версій інструментів webpack. Modelsim доступний лише у платних виданнях. Я не перевіряв ґрати.
smashtastic

Хоча веб-пакет Xilinx включає симулятор ISE. Вам потрібен саме Modelsim? На моїй швидкій перевірці, у Lattice не було моделювання, але тепер вони згадують Aldec Lattice Web Edition II. Altera перелічила "ModelSim Altera Starter Edition" як частину веб-видання Quartus II.
Янн Верньє

2
Ах, передчасне подання знову страйкує. Симулятор Aldec лише для Windows. Все це - невільні бінарні файли, так, але є пара безкоштовних інструментів моделювання, таких як Icarus Verilog, GHDL та FreeHDL.
Янн Верньє

13

Коротка відповідь: так.

Є кілька тренажерів: GHDL - симулятор з відкритим кодом. Не настільки хороший, як основні тренажери, але це почне вам працювати.

Існує Sigasi , інструмент введення VHDL-дизайну та розуміння коду, який працює на Mac. Це допоможе вам написати код, перш ніж відправити його в тренажер.

Щодо інструментів синтезу, вам слід поглянути на Plunify . Синтез пропозиції "у хмарі", тому він не прив'язаний до жодної платформи.

Ви, ймовірно, рано чи пізно користуєтеся VMWare або Parallels, але впевнені, що можете розпочати розробку VHDL на вашому Mac.

(розголошення: я в команді Sigasi)


На сайті Sourceforge доступні версії gcc та прямі версії машинного коду ghdl-0,31 для OS X 10.9, доступні на веб-сайті Трістана Гінгольда та Брайана Драммонда. Також Нік Гассон в VHDL Compiler NVC заснований на OS X, тільки відсутні параметри конфігурації від -1993 сумісності. Наразі обидва рухаються до сумісності -2008. Для завантаження також доступний додаток OS X GTKWave , придатний для обох.
користувач8352

0

Коротка відповідь: Так, але не легко

Icestorm є відкритим вихідним кодом FPGA компіляції набору інструментів для Verilog на Lattice ice40 ПВМ тільки. Я скомпілював і можу запустити його на власній основі під Mac OS X, хоча налаштування його не було таким тривіальним, як робити те саме під Linux.

Icestorm включає кілька простих прикладів, які підходять для введення в синтез HDL для FPGA, але вони знаходяться у verilog, а не VHDL.

Отже, якщо у вас є серце тільки для вивчення VHDL, вам знадобиться VHDL для конвертора Verilog , оскільки VHDL не підтримується безпосередньо. Я фактично не керував одним із тих, хто працює на Mac OS X, тому ця відповідь - можливо .

Можливо, це варто, якщо ви новачок в дизайні FPGA, починаючи з MyHDL (це інтерфейс python, який може імітувати апаратне забезпечення, а також автоматично генерувати або VHDL, або Verilog для синтезу FPGA), поки ви не ознайомитесь з HDL-дизайн, а потім деталізація з VHDL та Verilog пізніше.

Якщо ви серйозно ставитесь до вивчення синтезу HDL для FPGA, вам, мабуть, доведеться з часом навчитися бути принаймні пристрасно знайомими з Verilog і VHDL. Комерційні інструменти підтримують обидва, навіть у тому ж проекті, одразу на основі модуля.

Іншим дуже корисним інструментом моделювання (який також працює під Mac OS X, через пакет в одному або іншому з домашньої мови та макроконтролів) є Verilator , який дозволяє компілювати HDL в ефективно працюючі рідні бінарні файли для цілей роботи весь SoC в імітованому вигляді. Відмінний і корисний приклад цього входить до Swapforth , який використовується при викликуmake -C j1a clean bootstrap . Verilator використовується, щоб дозволити початковому образу Forth зібрати власну початкову інсталяцію програмного забезпечення, яка потім повторно компілюється в дизайн, щоб отриманий FPGA запускався незалежно від програми.

Будь ласка, майте на увазі, що все вищезазначене досить нетривіально, щоб працювати над MacOS X - вам доведеться раді раді виявленню відсутніх залежностей та встановленню їх через будь-який макпорт, а також домашню мову, а також полювання навколо та наступні нотатки о

Mac OS X є досить громадянином другого класу в порівнянні з Linux, принаймні з метою компіляції FPGA. Ви побачите, що навіть FreeBSD має набагато кращу підтримку запуску комерційних ланцюжків інструментів FPGA (через бінарний шар сумісності Linux, якого Дарвіну, на жаль, не вистачає).

Отже, все ще далеко, набагато простіше або з подвійним завантаженням, або з віртуалізацією Linux, так що ви можете просто накинути інструменти та приступити до роботи. Але оскільки існує одна корисна ланцюжок інструментів FPGA з відкритим кодом, її можна запустити в Mac OS X.

Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.