Запитання з тегом «fpga»

Поліпрограмований масив воріт (FPGA) - це логічний чіп, який налаштовується замовником після виготовлення - отже, «поле програмоване».

4
Чи можете ви насправді зламати FPGA, неправильно запрограмувавши його?
Чи можете ви дійсно зламати FPGA, неправильно запрограмувавши його? Я справді програмний хлопець. Ні для кого не секрет, що якщо ваше програмне забезпечення неправильне, ви можете знищити всілякі важливі дані та, можливо, навіть розбити всю машину. Але фізично пошкодити комп’ютер фізично просто програмуючи його. (Існує нескінченний слух інструкції Halt-And-Catch-Fire або …
26 fpga 

5
Які відмінності та схожість між FPGA, ASIC та General Microcontrollers?
Я прочитав цей пост, і він не відповідає на моє запитання в повному обсязі: Я думаю, що мікроконтролер - це все, що має деяку пам’ять, реєструє і може обробляти набір інструкцій, таких як ЗАВАНТАЖЕННЯ, Зберігання та додавання. Він містить логічні ворота і такі, щоб виконувати свою роль, але головне його …

1
Чому FPGA використовуються так часто для відео-проектів HDMI?
Якщо ви переглянете hdmi-проекти на такому сайті, як хакдей , ви побачите, що майже кожен з них включає FPGA. Я не думаю, що я не бачив жодного DIY проекту з виходом HDMI, який не використовував FPGA. Але чому? Наскільки я можу сказати, FPGA коштують дорого, приблизно від 70 до 100 …
24 fpga  hdmi 

2
Що таке LUT у FPGA?
Я пережив різні джерела ... Але я не зовсім впевнений, що це таке. Я хочу, щоб і ворота, і логічний еквівалент - це два входи, що подаються на один воріт, а для Y = AB 'логічний еквівалент подає до одного не ворота і один і ворота. Але це однаковий LUT …
24 fpga 

2
RTL проти HDL? Яка різниця
У чому головна відмінність RTL від HDL? Якщо чесно, я шукав / гуглив це, але люди розділені у своїх думках. Я пам'ятаю одне твердження, що HDL - це комп'ютерна мова, яка використовується для опису цифрової схеми, і коли вона синтезується, то вона вважається RTL.
24 fpga  hdl  rtl 

6
Які переваги використання FPGA над TTL в архітектурі введення комп'ютера?
Я викладаю єдиний курс комп’ютерної архітектури у коледжі гуманітарних мистецтв. Курс необхідний для основних та неповнолітніх з інформатики. У нас немає комп’ютерної інженерії, електротехніки, інших апаратних курсів і т. Д. Моя основна мета курсу - це студенти зрозуміти до кінця до рівня воріт, як працюють комп'ютери, і я вважаю, що …

7
Чи є аналогові FPGA?
Як я розумію, FPGA - це гнучкі "цифрові" схеми, які дозволяють проектувати та будувати та відновлювати цифрову схему. Це може здатися наївним або нерозумним, але мені було цікаво, чи є FPGA або інші "гнучкі" технології, які також роблять аналогові компоненти доступними для дизайнера, як підсилювачі, або A / D, D …

4
VHDL, який може пошкодити FPGA
Я десь прочитав, що поганий код VHDL може призвести до пошкодження FPGA. Чи можливо навіть пошкодити FPGA з кодом VHDL? Які умови спричинили б це і які найгірші сценарії?
22 fpga  vhdl 

4
Чому FPGA на базі SRAM використовується більше, ніж FPGA на базі NVM?
На основі SRAM FPGA потрібно знову завантажити бітовий потік після відключення живлення. Тим часом енергонезалежній особі цього не потрібно. Цікаво, чому на SRAM FPGA робиться більше експериментів та досліджень безпеки, ніж на базі NVM, здається, що енергонезалежна технологія використовується більше незалежно від обмежень безпеки (якщо мова йде про забезпечення безпечного …

5
Чи може FPGA виконувати багатоядерний ПК?
Я не розумію, як FPGA можна використовувати для прискорення алгоритму. В даний час я запускаю трудомісткий алгоритм у реальному часі на квадрокоптері, щоб паралельно можна було виконати чотири обчислення. Нещодавно мене попередили, що FPGA може дати ще кращі показники. Я не розумію, як це працює. Чи можу хтось пояснити, як …

4
Коли використовувати засувки краще, ніж триггер у FPGA, який підтримує обидва?
Питання: Коли використовувати засувки краще, ніж триггер у FPGA, який підтримує обидва? Фон: Загальновідомий принцип, що у FPGA слід уникати рівних чутливих прозорих фіксаторів, а ексклюзивно розташовані взуттєві шльопанці. Більшість архітектур FPGA в основному підтримують як засувки, так і триггери. Загальна порада - навіть від постачальників FPGA - полягає в …
20 fpga  flipflop 

7
Як отримати дизайн процесора на FPGA
Нещодавно я вирушив у довге плавання логічного проектування самонавчання. Кінцевим продуктом цього є функціональний 16-бітний процесор, який працює точно так, як розроблено в тренажері . Тепер я тільки почав розглядати можливість введення його в кремній за допомогою FPGA. Я знаю, що мені доведеться зробити багато коригувань на арені введення / …
20 fpga  cpu 

2
Процесори з м'яким ядром VS процесори жорсткого ядра
Я роблю дослідження щодо взаємодії FPGA з мікропроцесором, таким як ARM9. У моєму дослідженні потрапила концепція процесорів м'якого ядра та жорстких ядер. Чи можу я знати, яке порівняння між цими двома типами; схожість чи відмінності в реалізації?
19 fpga  arm 

1
Програмна перевірка процесора
На даний момент я розробляю простий процесор у VHDL за допомогою Xilinx ISE та ISIM. Частина дизайну іде надзвичайно добре, але я не можу знайти спосіб послідовної перевірки. Зараз у мене є тестовий стенд VHDL, який я оновлюю, щоб перевірити функцію, над якою працюю в будь-який конкретний момент. Це дуже …
18 fpga  vhdl  cpu  test 


Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.