Запитання з тегом «fpga»

Поліпрограмований масив воріт (FPGA) - це логічний чіп, який налаштовується замовником після виготовлення - отже, «поле програмоване».

3
FPGA, перші кроки
Ну це продовження мого питання про FPGA тут . Нарешті я вибрав Digilent Atlys з Spartan 6 FPGA, я не маю жодного попереднього досвіду роботи FPGA, хоча я провів певну роботу з мікроконтролерами. Останні кілька днів я провів, читаючи аркуші даних FPGA, і думаю, що це було б хорошим вибором …
11 fpga  xilinx  spartan 

4
FPGA: підраховувати чи відраховувати?
Я вчуся використовувати FPGA (Papilio development board, у якого є xilinx spartan3e, використовуючи vhdl). Мені потрібно розділити вхідний імпульс на (жорстко закодоване) число. Я бачу 3 варіанти - приблизно, як псевдокод (використовуючи 10 підрахунків як приклад): Ініціалізуйте до 0, при збільшенні вхідного краю збільшення на 1, порівняно з 10; якщо …
11 fpga  vhdl  xilinx  papilio 

2
Розподіл генератора між двома ІС
У мене на одній платі мікроконтролер і FPGA. Якщо вони обидва будуть працювати з однаковою тактовою швидкістю, чи можу я просто використовувати один генератор, щоб обернути їх обох? Здається, тут є щось, на що я повинен бути уважним, але я не можу одразу придумати будь-які проблеми з цим, якщо я …

2
Що таке "половина засувки" у FPGA?
У статті про важкопроменеві ППГА я натрапив на таке речення: "Інша проблема, що стосується пристроїв Virtex, - це половина засувок. Половина засувок іноді використовується в цих пристроях для внутрішніх констант, оскільки це більш ефективно, ніж використання логіки". Я ніколи не чув про примітивні пристрої FPGA, що називаються "напівчепі". Наскільки я …
10 fpga  vhdl  xilinx  radiation 

2
Яка різниця між CPLD та FPGA? [зачинено]
Зачинено. Це питання поза темою . Наразі відповіді не приймаються. Хочете вдосконалити це питання? Оновіть питання, щоб воно було актуальним для обміну стеками електротехніки. Закрито 4 роки тому . Яка різниця між CPLD та FPGA?

5
VHDL: Використання оператора '*' при впровадженні множників у дизайн
В даний час FPGA вбудовані в блоки DSP, останні FPGA навіть вбудовані в сумісні з IEEE-754 модулі з плаваючою точкою. Можливе створення об'єкта / модуля DSP за допомогою графічного інтерфейсу після вибору в ньому необхідних параметрів, а потім миттєво його в проекті. Коли нам потрібно зробити такий мікроуправління при розробці …
10 fpga  vhdl  dsp 

1
Посилання FPGA до зовнішньої пам'яті
Я намагаюсь використати стільниковий таран на платі розвитку Nexys 4 FPGA . Я використовую Xilinx Vivado і хотів би, щоб процесор Softblaze з м'яким ядром міг виконувати читання та запис. Поки що я створив процесор у блок-дизайні. Після довгого полювання через Інтернет я врешті знайшов зовнішній контролер пам'яті або ЕМС, …
10 fpga  memory  ram 

3
обробка часу на FPGA
Я новачок у fpgas, і є деякі тонкощі часу, які я не впевнений, я розумію: якщо всі мої синхронні процеси спрацьовують на одному краю, то це означає, що мої введення "захоплені" на одному зростаючому краю, а мій Виходи змінюються на .. той самий край? наступний піднімаючий край? якщо у мене …

1
MD5 VHDL трубопровід
Я намагаюся реалізувати 3-ступінчастий трубопровід MD5 за цим посиланням . Зокрема, алгоритми на сторінці 31. Існує також ще один документ, який описує пересилання даних. Це робиться в FPGA (Terasic DE2-115). У цьому проекті немає жодної схеми, лише VHDL-код. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity md5core is port ( CLOCK_50 …
10 fpga  vhdl 

3
Що таке перекос годинника, і чому він може бути негативним?
Мій компілятор HDL (Quartus II) формує звіти про терміни. У ній вузли мають стовпчик "перекос годинника". Єдине визначення перекосу годинника, яке я знайшов, знаходиться в документації TimeQuest (див. Сторінку 7-24): Щоб вручну вказати невизначеність годинника або перекос, для передачі годинник на годинник використовуйте set_clock_uncertaintyкоманду. Отже, якщо перекос - це «невизначеність», …


4
Використання обох країв годинника
Я програмую Altera Cyclone IV за допомогою Verilog та Quartus II. У своєму дизайні я хотів би використовувати обидва краї годин, щоб я міг робити поділ годин за непарним коефіцієнтом із 50-відсотковим циклом роботи. Ось фрагмент мого коду: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin …

2
Як я можу використовувати сканований сенсор CCD із сканера?
Я дістав старий сканер без адаптера живлення. Я підключив його до чогось із сумісним адаптером, але, мабуть, саме цей сканер дуже прив’язаний до його джерела живлення (навіть якщо це, мабуть, звичайний 12 В постійного струму). В Інтернеті були численні повідомлення про те, що вони не працювали, поки вони не використали …
10 arduino  fpga  camera  ccd 

1
Як створити клон Ambilight на базі FPGA?
Швидкий фон: Ambilight - це система на деяких телевізорах Philips, яка аналізує інформацію про колір на екрані, а потім встановлює деякі світлодіоди на задній панелі дисплея, щоб проеціювати колір екрану на стіну. Це досить витончений ефект. Зараз там є клони цієї системи, які використовують ПК для обробки відео та керування …
10 fpga  hdmi 

3
Що відбувається, коли FPGA увімкнена і не налаштована?
Я намагаюся отримати загальне розуміння того, що станеться, якщо залишити FPGA непрограмованим протягом тривалого часу. Припустимо, у вас є FPGA, і ви залишаєте її непрограмованою протягом тривалого періоду часу (від декількох хвилин до години після включення), тобто немає бітового потоку на ньому, це погано для пристрою? Чи рекомендується постійно мати …

Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.