Запитання з тегом «vhdl»

VHDL (VHSIC (Very High Speed ​​Integrated Circuit) Hardware Description Language) - мова опису апаратних засобів) - це апаратний опис мови, який використовується в автоматизації електронного проектування для опису та проектування цифрових систем, таких як програмовані на місцях масиви воріт та інтегральні схеми.

8
Проект для вивчення VHDL
Я студент EE і можу писати [принаймні прості] програми на більшості мов, ніж у мене пальці. Я щойно почав вивчати VHDL, і мені було цікаво, який би був хороший проект, щоб насправді познайомитися з мовою та відповідними інструментами? У мене виникають труднощі придумати один, оскільки це дійсно інший стиль програмування …
16 fpga  xilinx  vhdl 

7
Яка різниця між тестуванням та верифікацією?
Кожен підручник, який я бачив, значною мірою свідчить про те, що тестування та перевірка є двома різними поняттями. Але жоден з них не дає чіткого (або для мене, нарешті, досить чіткого) розрізнення. Щоб надати певний контекст, мені цікаво перевірити цифрові конструкції обладнання за допомогою мов дизайну апаратних засобів (HDL). Я …

4
Як працюють транзистори BJT в насиченому стані?
Це те, що я знаю про NPN BJT (біполярні з'єднувальні транзистори): Струм Base-Emitter посилюється HFE-раз на Collector-Emitter, так що Ice = Ibe * HFE Vbe- напруга між базовим випромінювачем, і, як і будь-який діод, зазвичай становить близько 0,65В. Я не пам’ятаю про це Vec. Якщо Vbeнижній за мінімальний поріг, транзистор …

3
VHDL: Назви та інтерпретація архітектури
Примітка. Я використовую ISE Xilinx і маю плату FPGA для роботи (з вимикачами та світлами тощо), і я зламав разом декілька простих проектів. У той же час я читаю кілька навчальних посібників, щоб створити фундамент для того, що я роблю. Я бачив різні сутності та їх архітектури, згадані в довідкових …

5
Що б змусило мене вибрати Verilog або VHDL над схематичним дизайном на CPLD або FPGA?
У мене абсолютно немає досвіду програмованої логіки, я використовую в основному мікроконтролери в своїх проектах, але останнім часом мені потрібно було працювати з відео, і мікроконтролер занадто повільний, що мені потрібно, тому я почав грати з CPLD. Мені вдалося отримати хороші результати з CPLD лише за допомогою схематичного дизайну, але …


4
Дизайн мікропрограмного забезпечення FPGA: Наскільки велика завелика?
У мене особливо велика трансформація обробки сигналів, яку потрібно перенести з matlab до VHDL. Це безумовно вимагає певного обміну ресурсами. Трохи підрахунок дав мені наступне: 512 футів 64 балів 41210 операцій множення-додавання Зважаючи на найбільшу Virtex 6 FPGA з ~ 2000 блоками DSP48E, я знаю, що можу поділитися ресурсами, щоб …
13 fpga  vhdl  xilinx 

7
Дешева платформа розробки FPGA [закрита]
Зачинено. Це питання поза темою . Наразі відповіді не приймаються. Хочете вдосконалити це питання? Оновіть питання, щоб воно було актуальним для обміну стеками електротехніки. Закрито 4 роки тому . Я хочу почати з FPGA, але я ніколи раніше не працював з цим. Я хочу дешевий комплект, але я не знаю, …
12 fpga  vhdl  jtag 

6
рекомендації щодо книги FPGA [закрито]
Зачинено. Це питання поза темою . Наразі відповіді не приймаються. Хочете вдосконалити це питання? Оновіть питання, щоб воно було актуальним для обміну стеками електротехніки. Закрито 2 роки тому . які заголовки книг ви б рекомендували розпочати з FPGA та VHDL? редагування Я помітив, що кілька рекомендованих книг датуються 1996 роком. …
12 fpga  books  vhdl 

1
Як налагодити червоні сигнали в ModelSIM?
Я повинен створити машину стану, використовуючи лише ворота NAND для комбінаторної частини та D шльопанці для послідовної логіки. Все повинно працювати в такт 1гц / 53. Тепер, перш ніж ви нападаєте на мене з "ми не зробимо домашнє завдання за вас", дозвольте сказати, що я заробив все після інвестиційних днів …

2
Як я можу вказати сигнали "не байдуже" у VHDL?
На курсах логічного дизайну ми всі дізналися, що можна мінімізувати логічну функцію, наприклад, використовуючи карту Карно або алгоритм Quine – McCluskey . Ми також дізналися, що значення "Небайдуже" збільшують потенціал мінімізації. Наприклад, візьміть файл реєстру. write_addressІ write_dataсигнали на насправді не має значення , коли write_enableсигнал '0'. Таким чином, їм слід …

3
Як визначити області дизайну FPGA, які використовують найбільш ресурси та площу?
Я працюю над великим дизайном FPGA, і я дуже близький до обмежень ресурсів FPGA, якими я зараз користуюся, Xilinx LX16 в пакеті CSG225. Дизайн також майже завершений, проте на даний момент він більше не вписується в FPGA. Я можу вимкнути деталі, щоб пристосувати його, проте мені потрібно зменшити використання ресурсів, …

2
Різниця між твердженням If-else та Case у VHDL
Я хочу зрозуміти, як різні конструкції в коді VHDL синтезуються в RTL. Чи може хто-небудь сказати мені різницю між конструкцією If-Else і конструктами оператора Case процесу в VHDL з точки зору того, як код вводиться в схему RTL інструментом синтезу? Розглянемо випадок численних вкладених if-else та змішування випадок case із …
11 vhdl  code-design  rtl 

12
Чи використовуєте Ви зараз VHDL?
Я студент електротехніки і вивчаю мову опису апаратних засобів, відому як VHDL. Я шукав його в Google, шукаючи IDE (я на Mac), але ця мова здається досить мертвою. Тож ось моє запитання: чи буде моя майбутня робота електротехніком VHDL мені корисна? Ви його використовуєте? ОНОВЛЕННЯ: Дякую всім за відповіді, я …
11 vhdl 

4
Коли акуратніше використовувати представлення VECTOR проти INTEGER?
У нитці коментарів щодо відповіді на це запитання: Неправильні результати в об'єкті VHDL було зазначено: "З цілими числами у вас немає контролю або доступу до внутрішнього логічного представлення в FPGA, тоді як SLV дозволяє робити такі трюки, як ефективно використовувати ланцюжок переноски" Отже, за яких обставин ви вважаєте, що більш …
11 fpga  vhdl 

Використовуючи наш веб-сайт, ви визнаєте, що прочитали та зрозуміли наші Політику щодо файлів cookie та Політику конфіденційності.
Licensed under cc by-sa 3.0 with attribution required.